ModelSim e alee?

Sezione dedicata al linguaggio di descrizione hardware per logiche programmabili

Re: ModelSim e alee?

Postby legacy » 14 Oct 2012, 09:31

Ti posso chiedere se conosci qualche libro


books ? umm, io ne so pochi, pero' direi che apriamo la parentesi su
un thread dedicato -> http://www.delucagiovanni.com/public/phpbb3/viewtopic.php?f=10&t=391&p=1579#p1579
legacy
 
Posts: 862
Joined: 12 Mar 2012, 11:30

Previous

Return to VHDL x FPGA

Who is online

Users browsing this forum: No registered users and 3 guests

cron