[VHDL]progetto funzione somma e prodotto

Sezione dedicata al linguaggio di descrizione hardware per logiche programmabili

[VHDL]progetto funzione somma e prodotto

Postby christian » 19 Mar 2013, 13:18

fare un package che contiene la funzione di somma e la
funzione di prodotto
per due standard logic vector contenenti valori a X

supponga di avere 1X01+01X0, attualmente con l'unsigned avrebbe XXXX in uscita
in realta' non e' cosi'
1X01+
00X0=
---------
1XX1

perche' in alcuni casi so che su un operando ha un bit a X il carry interno e' 0

poi servirebbe una utility che dato un vettore con elementi a X
ritorna un vettore con tutti questi a 1 o a 0 dipendentemente da un
flag
christian
 
Posts: 3
Joined: 19 Mar 2013, 13:12

Re: [VHDL]progetto funzione somma e prodotto

Postby m.brox » 19 Mar 2013, 20:12

e che è? ci dai subito i compiti per casa senza presentarti?
almeno spiegaci a cosa ti serve, o già possiamo immaginare?

è quanto ci dai per risolvere le esercitazioni? scherzo naturalmente :)
qui l'aiuto è gratuito, quando si ha la risposta pronta.

esame uni?
m.brox
 
Posts: 22
Joined: 08 Nov 2012, 21:02

Re: [VHDL]progetto funzione somma e prodotto

Postby Altero » 19 Mar 2013, 22:34

Ma nella realtà difficilmente mi è capitato di avere segnali "X" ed avere la necessità di fare operazioni con questo tipo di segnali.

Di sicuro di tratta di codice VHDL da simulare, nulla di reale.

Se il prof. ha spiegato bene, non dovrebbe essere difficile trovare la soluzione, qualche if, when else e magari un ciclo do :)

Aiutiamolo raga!!
Altero
 
Posts: 46
Joined: 20 Apr 2012, 09:33

Re: [VHDL]progetto funzione somma e prodotto

Postby christian » 20 Mar 2013, 10:08

E' un esercizio di simulazione, infatti poi lo devo simulare con Modelsim. Io pensavo di fare un adder per la somma con carry visto la (supponga di avere 1X01+01X0, attualmente con l'unsigned avrebbe XXXX in uscita
in realta' non e' cosi'
1X01+
00X0=
---------
1XX1

perche' in alcuni casi so che su un operando ha un bit a X il carry interno e' 0), però per il prodotto non ho idea. Credevo che fosse tutto legato. Ma l'ultima parte non saprei che farci :
poi servirebbe una utility che dato un vettore con elementi a X
ritorna un vettore con tutti questi a 1 o a 0 dipendentemente da un
flag
christian
 
Posts: 3
Joined: 19 Mar 2013, 13:12


Return to VHDL x FPGA

Who is online

Users browsing this forum: No registered users and 5 guests

cron