Moltiplicatore in VHDL

Sezione dedicata al linguaggio di descrizione hardware per logiche programmabili

Moltiplicatore in VHDL

Postby alfo84 » 06 Sep 2013, 13:06

Salve a tutti , sono Alfo e sono un nuovo utente. Volevo esporvi il mio problema. Devo simulare con Aldec active student un moltiplicatore con due ingressi che possono essere sia negativi che positivi, ora mi chiedevo che tipo di moltiplicatore dovrei utilizzare visto che a priori non so se i due ingressi sono entrambi positivi, o negativi o ancora uno negativo e l'altro positivo.

Vi ringrazio in anticipo per la disponibilità. Trovo questo forum molto bello.
alfo84
 
Posts: 42
Joined: 06 Sep 2013, 12:51

Re: Moltiplicatore in VHDL

Postby Leonardo » 06 Sep 2013, 17:12

Salve alfo84,
Bisogna utilizzare un moltiplicatore con segno che in genere viene automaticamente sintetizzato se gli operandi della moltiplicazioni sono con segno.

Leonardo
Il mio blog di elettronica: http://electro-logic.blogspot.it
User avatar
Leonardo
 
Posts: 502
Joined: 29 May 2013, 22:31
Location: Parma

Re: Moltiplicatore in VHDL

Postby alfo84 » 06 Sep 2013, 17:38

Ti ringrazio molto per la spiegazione, mi sarà molto utile. Ciao
alfo84
 
Posts: 42
Joined: 06 Sep 2013, 12:51

Re: Moltiplicatore in VHDL

Postby deluca » 06 Sep 2013, 17:47

@salve alfo benvenuto al forum.
la soluzione + semplice in assoluto è questa:

Code: Select all
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity signed_mult is
port
   (
      a : in signed (7 downto 0);
      b : in signed (7 downto 0);
      result : out signed (15 downto 0)
   );

end entity;

architecture rtl of signed_mult is
begin
   result <= a * b;   
end rtl;

nel caso di moltiplicatori in assenza di clock sincrono e senza pipeline basta definire sia gli ingressi che le uscite come signed ....
Ciao
Il mio sito: http://www.delucagiovanni.com ......e la chat: chat/
User avatar
deluca
Site Admin
 
Posts: 1104
Joined: 19 Jun 2011, 10:44
Location: 95123 - Catania (Italy)

Re: Moltiplicatore in VHDL

Postby alfo84 » 07 Sep 2013, 08:36

La ringrazio molto per l'aiuto. Pensavo che la soluzione fosse più complessa. Saluti
alfo84
 
Posts: 42
Joined: 06 Sep 2013, 12:51

Re: Moltiplicatore in VHDL

Postby alfo84 » 07 Sep 2013, 08:40

Ho costruito un full adder a più bit con due half adder e una or. Visto la soluzione che lei mi ha dato per il moltiplicatore, potrei ricorrere ad una soluzione simile anche per l'addizionatore scrivendo "result <= a + b" ?
alfo84
 
Posts: 42
Joined: 06 Sep 2013, 12:51

Re: Moltiplicatore in VHDL

Postby Leonardo » 07 Sep 2013, 09:00

@alfo84: decisamente, non è necessario implementare tutti gli operatori matematici
Il mio blog di elettronica: http://electro-logic.blogspot.it
User avatar
Leonardo
 
Posts: 502
Joined: 29 May 2013, 22:31
Location: Parma

Re: Moltiplicatore in VHDL

Postby alfo84 » 07 Sep 2013, 09:29

Ok grazie mille
alfo84
 
Posts: 42
Joined: 06 Sep 2013, 12:51

Re: Moltiplicatore in VHDL

Postby alfo84 » 18 Sep 2013, 10:30

Salve, dovrei realizzare un moltiplicatore 12x12 con uscita su 12 bit, possibilmente con approssimazioni rounding. Potreste dirmi come fare siccome non riesco a farlo, grazie mille.
alfo84
 
Posts: 42
Joined: 06 Sep 2013, 12:51

Re: Moltiplicatore in VHDL

Postby Leonardo » 18 Sep 2013, 11:06

Devi partire col documentarti su come funziona un moltiplicatore con rounding, in letteratura esistono diversi paper sull'argomento riferito al VHDL. Hai accesso a pubblicazioni scientifiche?

Ciao
Il mio blog di elettronica: http://electro-logic.blogspot.it
User avatar
Leonardo
 
Posts: 502
Joined: 29 May 2013, 22:31
Location: Parma

Re: Moltiplicatore in VHDL

Postby alfo84 » 18 Sep 2013, 11:31

No. Vorrei cmq riuscire a realizzare per il momento il solo moltiplicatore 12 x 12 con uscita a 12 bit. E' possibile avere del codice su cui rifletterci su.
grazie dell'aiuto.

Ciao
alfo84
 
Posts: 42
Joined: 06 Sep 2013, 12:51

Re: Moltiplicatore in VHDL

Postby Leonardo » 18 Sep 2013, 12:12

Ne abbiamo discusso qualche giorno fa: http://www.delucagiovanni.com/public/phpbb3/viewtopic.php?f=10&t=622
Dovresti trovare tutti gli elementi che ti servono per la realizzazione

Leonardo
Il mio blog di elettronica: http://electro-logic.blogspot.it
User avatar
Leonardo
 
Posts: 502
Joined: 29 May 2013, 22:31
Location: Parma


Return to VHDL x FPGA

Who is online

Users browsing this forum: No registered users and 1 guest

cron