Conflitto tra librerie

Sezione dedicata al linguaggio di descrizione hardware per logiche programmabili

Conflitto tra librerie

Postby alfo84 » 27 Sep 2013, 11:06

Salve a tutti. Nel progetto al quale sto lavorando (filtro interpolatore) ho avuto bisogno di ricorrere alla funzione " conv_signed "
che richiede la libreria "use ieee.std_logic_arith.all;" in aggiunta a quella standard "use ieee.std_logic_1164.all;"

Ho notato che se nel progetto inserisco anche la libreria "use ieee.numeric_std.all;", per poi poter nel programma eseguire opportuni shift,
tale libreria va in conflitto con la libreria "use ieee.std_logic_arith.all;", cioè se inserisco entrambe le librerie mi dà errore nella compilazione.

Come posso risolvere il problema siccome mi servono entrambe, rispettivamente per utlizzare la conv_signed e gli shift?

Ciao,
Alfonso
alfo84
 
Posts: 42
Joined: 06 Sep 2013, 12:51

Re: Conflitto tra librerie

Postby Leonardo » 27 Sep 2013, 11:15

Consiglio il solo utilizzo della libreria ieee.numeric_std.all, contiene la funzione TO_SIGNED e gli operatori di shift

Ciao
Il mio blog di elettronica: http://electro-logic.blogspot.it
User avatar
Leonardo
 
Posts: 502
Joined: 29 May 2013, 22:31
Location: Parma


Return to VHDL x FPGA

Who is online

Users browsing this forum: No registered users and 12 guests

cron