Page 1 of 1

Conflitto tra librerie

PostPosted: 27 Sep 2013, 11:06
by alfo84
Salve a tutti. Nel progetto al quale sto lavorando (filtro interpolatore) ho avuto bisogno di ricorrere alla funzione " conv_signed "
che richiede la libreria "use ieee.std_logic_arith.all;" in aggiunta a quella standard "use ieee.std_logic_1164.all;"

Ho notato che se nel progetto inserisco anche la libreria "use ieee.numeric_std.all;", per poi poter nel programma eseguire opportuni shift,
tale libreria va in conflitto con la libreria "use ieee.std_logic_arith.all;", cioè se inserisco entrambe le librerie mi dà errore nella compilazione.

Come posso risolvere il problema siccome mi servono entrambe, rispettivamente per utlizzare la conv_signed e gli shift?

Ciao,
Alfonso

Re: Conflitto tra librerie

PostPosted: 27 Sep 2013, 11:15
by Leonardo
Consiglio il solo utilizzo della libreria ieee.numeric_std.all, contiene la funzione TO_SIGNED e gli operatori di shift

Ciao