assegnazione std_logic_vector-signed

Sezione dedicata al linguaggio di descrizione hardware per logiche programmabili

assegnazione std_logic_vector-signed

Postby giuseppe01051984 » 29 Sep 2013, 14:23

Come faccio ad assegnare uno std_logic_vector al signed e viceversa???
Inoltre è possibile definire signed un segnale di un solo bit????
giuseppe01051984
 
Posts: 49
Joined: 06 Sep 2013, 13:16

Re: assegnazione std_logic_vector-signed

Postby Leonardo » 29 Sep 2013, 14:47

Code: Select all
 library IEEE;
 use ieee.std_logic_1164.all;
 use ieee.numeric_std.all;
 entity Forum is     
     port(
         a: in  std_logic_vector (0 to 0);
         b: out signed (0 downto 0)
      );
 end Forum;
 architecture rtl of Forum is
 begin
   b <= signed(a);
 end rtl;


Dall'esempio per analogia puoi trovare la risposta a tutte le tue domande, ricordando che std_logic_vector(signed) converte da signed ad std_logic_vector.

Ti consiglio però prima di postare altri quesiti di cercare meglio con un motore di ricerca se trovi autonomamente la soluzione, scrivendo "vhdl signed std_logic_vector" sono sicuro che avresti trovato risposta in minor tempo.
Il mio blog di elettronica: http://electro-logic.blogspot.it
User avatar
Leonardo
 
Posts: 502
Joined: 29 May 2013, 22:31
Location: Parma

Re: assegnazione std_logic_vector-signed

Postby giuseppe01051984 » 01 Oct 2013, 09:10

Hai ragione Leonardo,scusa!è che a volte impiego troppo tempo per riuscire a scrivere la cosa giusta.
Ogni corso mi sembra diverso dall'altro,me ne consiglieresti uno completo di tutto su cui posso far sempre riferimento????
giuseppe01051984
 
Posts: 49
Joined: 06 Sep 2013, 13:16


Return to VHDL x FPGA

Who is online

Users browsing this forum: No registered users and 7 guests