Conversione da Matlab a VHDL

Sezione dedicata al linguaggio di descrizione hardware per logiche programmabili

Conversione da Matlab a VHDL

Postby Altero » 13 Jul 2014, 08:43

ciao a tutti,
ho visto che è possibile convertire una funzione matematica scritta in Matlab, in VHDL.
Ho visto un semplicissimo tutorial su youtube che spiega come fare ed ho cercato di seguirlo.
La funzione Matlab sarebbe:
Code: Select all
function x = adder(a,b)
    x = a+b;
end

e il testb:
Code: Select all
f=adder(3,2.1);
f=adder(10,20.5);


.
Ora il punto è questo:
ho seguito passo passo il tutorial ma alla fine, quando cerco di eseguire "HDL code generator", e mandare in RUN i vari passi, così come mostrato sul video, mi viene fuori un errore: "Error using buildInstrumentedMex", e non riesco ad andare avanti.
La versione di MatLab che ho installato è la R2012a, la versione di Quatus II è la 13.0 web-edition, OS è XP.

Qualcuno potrebbe aiutarmi? vi ringrazio.
Altero
 
Posts: 46
Joined: 20 Apr 2012, 09:33

Return to VHDL x FPGA

Who is online

Users browsing this forum: No registered users and 3 guests

cron