pwm trifase

Sezione dedicata al linguaggio di descrizione hardware per logiche programmabili

pwm trifase

Postby flinari » 19 Oct 2014, 09:26

Salve , chiedevo se si trovano esempi di codice VHDL spiegati decentemente di sistemi pwm trifase a 2 livelli , dovrei mettere insieme qualcosa di simile su xilinx in poco tempo e magari qualche idea presa da sistemi gia testati potrebbe salvare tempo .. grazie molte ..
flinari
 
Posts: 1
Joined: 19 Oct 2014, 09:13

Re: pwm trifase

Postby legacy » 19 Oct 2014, 17:14

anche io in poco tempo e a costo zero vorrei trovarmi sulla scrivania un softcore gia' validato :lol:
legacy
 
Posts: 862
Joined: 12 Mar 2012, 11:30


Return to VHDL x FPGA

Who is online

Users browsing this forum: No registered users and 2 guests

cron