Page 1 of 1

pwm trifase

PostPosted: 19 Oct 2014, 09:26
by flinari
Salve , chiedevo se si trovano esempi di codice VHDL spiegati decentemente di sistemi pwm trifase a 2 livelli , dovrei mettere insieme qualcosa di simile su xilinx in poco tempo e magari qualche idea presa da sistemi gia testati potrebbe salvare tempo .. grazie molte ..

Re: pwm trifase

PostPosted: 19 Oct 2014, 17:14
by legacy
anche io in poco tempo e a costo zero vorrei trovarmi sulla scrivania un softcore gia' validato :lol: