Page 1 of 1

Errore running quartus modelsim

PostPosted: 27 Oct 2014, 12:35
by gaetano
Salve a tutti, usando il simulation wave editori di Quartus II mi son imbattuto nella segnalazione di errore che vedete in figura. Mi dà il medesimo errore sia se implemento il seguente codice, sia se realizzo un semplice registro (negli altri casi...sipo e altro funziona tutto). Specifico che il seguente codice passa la compilazione. A cosa potrebbe essere dovuto l'errore in fase di simulazione? Grazie!

Code: Select all
-- parallel input serial output - shift register
library ieee;
use ieee.std_logic_1164.all;

entity piso is
     port
         (
         sclk: in std_logic;
         rload: in std_logic;
         input: in std_logic_vector (31 downto 0);
         output: out std_logic
         );
end piso;

architecture piso_arc of piso is

begin
     
A:process (sclk, rload, input)
variable data: std_logic_vector (31 downto 0);

begin
      if rload = '1' then
         data := input;
      elsif(rising_edge(sclk)) then
      data:= data (30 downto 0) & '0';
      output <= data(31);
      end if;
      
end process A;

end piso_arc;

Re: Errore running quartus modelsim

PostPosted: 27 Oct 2014, 21:02
by Altero
ciao gaetano,

hai provato a simulare altri moduli? o è il primo che simuli

ciao

Re: Errore running quartus modelsim

PostPosted: 28 Oct 2014, 01:15
by gaetano
Sì Altero, ho provato con altri moduli classici come contatori, sipo etc. e la simulazione funziona senza problemi.
Mi dà questo errore finora solo in due casi: quello del codice sopra riportato e quello di un semplice registro.

Re: Errore running quartus modelsim

PostPosted: 28 Oct 2014, 09:43
by Leonardo
Ciao,
Puoi postare il progetto?

Re: Errore running quartus modelsim

PostPosted: 28 Oct 2014, 15:57
by gaetano
Ecco in allegato il progetto!

Re: Errore running quartus modelsim

PostPosted: 29 Oct 2014, 21:20
by Leonardo
Ciao Gaetano, input (e output) nel Verilog è una parola riservata.
Quartus converte la forma d'onda in un testbench Verilog e quindi si verifica l'errore.
Ti consiglio di non usare nel codice VHDL nomi di segnali con parole chiave Verilog.

Re: Errore running quartus modelsim

PostPosted: 30 Oct 2014, 00:41
by gaetano
Grazie per il consiglio :)! Converto i vari codici in cui ho usato parole riservate e controllo se è tutto ok.

Re: Errore running quartus modelsim

PostPosted: 30 Oct 2014, 10:33
by gaetano
Ho ri-simulato tutti i codici che presentavano il problema e ora funzionano. Grazie nuovamente

Re: Errore running quartus modelsim

PostPosted: 30 Oct 2014, 13:19
by Leonardo
Prego