Quartus 12.0

Qui si possono postare news ed eventi

Quartus 12.0

Postby flz47655 » 13 Jun 2012, 14:13

Volevo segnalare l'uscita della nuova versione 12.0 che tra le novità sembra essere più veloce delle precedenti
https://www.altera.com/download/dnl-index.jsp e supportare le nuove FPGA, spero supporti ancora le MAX 3000 però, vi saprò dire..

Novità: http://www.altera.com/corporate/news_room/releases/2012/products/nr-quartusii-12.0.html

UPDATE: L'ho installato ed è compatibile con le seguenti famiglie legacy: MAX3000A, MAX7000AE, MAX7000B, MAX7000S quindi sono stato fortunato

Ciao
flz47655
 
Posts: 639
Joined: 19 Jan 2012, 21:16

Re: Quartus 12.0

Postby flz47655 » 14 Dec 2012, 21:49

Volevo segnalare che è disponibile la versione 12.1, tra i miglioramenti visivi tutte le icone ridisegnate

Ciao
flz47655
 
Posts: 639
Joined: 19 Jan 2012, 21:16

Re: Quartus 12.0

Postby legacy » 29 Dec 2012, 02:41

La v12/Linux pare soffrire di case sensitive, di cui non voglio sapere nulla
sento solo colleghi lamentarsene da quando e' uscita.
Tanto io oltre la v5 non vado: supporta ancora le Flex10K.
legacy
 
Posts: 862
Joined: 12 Mar 2012, 11:30

Re: Quartus 12.0

Postby flz47655 » 29 Dec 2012, 11:17

In sostanza mi sembra di capire che le nuove versioni sintetizzano più velocemente più che altro, per piccoli progetti qualunque versione che supporta la versione desiderata di VHDL dovrebbe andare bene.
flz47655
 
Posts: 639
Joined: 19 Jan 2012, 21:16

Re: Quartus 12.0

Postby legacy » 29 Dec 2012, 15:45

Quantificando quanto e' lo speedup ? Per pura curiosita' ho chiesto ai colleghi che mi hanno risposto che per progetti 80% 20KLE e' insignificante.
legacy
 
Posts: 862
Joined: 12 Mar 2012, 11:30

Re: Quartus 12.0

Postby deluca » 29 Dec 2012, 16:07

Ciao ragazzi,
Ho eseguito vari test di comparazione e anche per me la differenza è veramente non apprezzabile.
anche tra la 32b e 64b la differenza è veramente poca.

Io continuo a lavorare con V.9.0 e solo in casi particolari sfrutto le ultime versioni... ma solo per comparazione e solo se devo sintetizzare per device nuovissimi.

Ciao
Ciao
Il mio sito: http://www.delucagiovanni.com ......e la chat: chat/
User avatar
deluca
Site Admin
 
Posts: 1104
Joined: 19 Jun 2011, 10:44
Location: 95123 - Catania (Italy)

Re: Quartus 12.0

Postby legacy » 29 Dec 2012, 16:27

Per ridurre lo speedup per partire dal codice HDL ed arrivare al bitstream ho sentito voci di corridoio (quindi potenzialemente gassate) su un possibile barbatrucco/metodo di "approccio incrementale" che consentirebbe da quanto ho origliato di ri-compilare solo i file modificati e non tutto il polpettone di project, e vabbe ... poi il mapping e l'ottimizzazione ce lo si cucca cmq tutto, di li non si scappa, ma almeno si risparmia qualcosina (e non so quanto).

Ne sapete qualcosa ?
legacy
 
Posts: 862
Joined: 12 Mar 2012, 11:30

Re: Quartus 12.0

Postby deluca » 29 Dec 2012, 16:42

@legacy,
L'approccio esiste e qualche volta lo abbiamo preso in considerazione.
Il fatto è che per una buona riuscita della compilazione incrementale sei costretto in qualche modo a preparare e configurare a priori il tuo progetto seguendo attentamente queste linee guida:

http://www.altera.com/literature/hb/qts ... i51015.pdf

ciao
Ciao
Il mio sito: http://www.delucagiovanni.com ......e la chat: chat/
User avatar
deluca
Site Admin
 
Posts: 1104
Joined: 19 Jun 2011, 10:44
Location: 95123 - Catania (Italy)

Re: Quartus 12.0

Postby flz47655 » 29 Dec 2012, 16:47

A parte la compilazione incrementale che non è disponibile nella versione gratuita, io qualche volta ho utilizzato l'opzione "Use smart compilation" per velocizzare un pochino il tutto anche se poi sono tornato a togliere la spunta a questa opzione

Directs the Compiler to perform a smart compilation, which allows future recompilations to run faster.

During a recompilation after a smart compilation, the Compiler determines which Compiler modules are required to process the current design based on the changes made to the design since the smart compilation, and then skips any modules that are not required. If you make any changes to the logic of a design, the Compiler uses all the modules during processing.

When the Compiler skips a module during a recompilation, the Messages window and the Messages sections of the Compilation Report window do not redisplay any messages that the skipped module issued during the smart compilation.
flz47655
 
Posts: 639
Joined: 19 Jan 2012, 21:16

Re: Quartus 12.0

Postby legacy » 29 Dec 2012, 17:47

OK, thanks, ho fatto bene ad origliare allora :lol:
La v9 che ho al lavoro e' FULL license: posso provare li la feature, mi incuriosisce.
legacy
 
Posts: 862
Joined: 12 Mar 2012, 11:30


Return to News ed Eventi vari

Who is online

Users browsing this forum: No registered users and 4 guests

cron