Lettura encoder ottici

Sezione dedicata alle logiche programmabili

Re: Lettura encoder ottici

Postby deluca » 08 Apr 2012, 11:05

Un Benvenuto a Tedesco,
e grazie in anticipo per il contributo che potresti dare a questo forum.

Colgo l'occasione per augurare a tutti una Buona Pasqua.
Ciao
Il mio sito: http://www.delucagiovanni.com ......e la chat: chat/
User avatar
deluca
Site Admin
 
Posts: 1104
Joined: 19 Jun 2011, 10:44
Location: 95123 - Catania (Italy)

Re: Lettura encoder ottici

Postby flz47655 » 08 Apr 2012, 17:28

Benvenuto Tedesco e grazie per il suggerimento, non ho ancora studiato i generics di VHDL ma è molto interessante l'esempio che hai fatto e di come possono essere usati in queste situazioni.

Buona pasqua a tutti
Ciao
flz47655
 
Posts: 639
Joined: 19 Jan 2012, 21:16

Previous

Return to FPGA & CPLD

Who is online

Users browsing this forum: No registered users and 12 guests

cron