ModelSim e Quartus II

Sezione dedicata alle logiche programmabili

ModelSim e Quartus II

Postby reflex19 » 07 Jul 2012, 16:52

Ciao a tutti,
Ho un problema con l'utilizzo del software ModelSim per la simulazione di progetti realizzati in Quartus II: una volta compilato con successo il progetto in Quartus II avvio la simulazione (RTL o gate level) e mi si apre ModelSim; clicco col destro sulla libreria 'work' che è stata creata e poi 'simulate'. Il mio problema è che non capisco come assegnare dei valori ai segnali d'ingresso del mio circuito per la simulazione, cioè per intenderci simulando ho tutti i segnali a zero al momento. Ho provato cliccando con il destro sul segnale e poi 'create wave' ma mi sembra non vada bene. Qualcuno può aiutarmi?
Grazie...
reflex19
 
Posts: 1
Joined: 07 Jul 2012, 16:46

Re: ModelSim e Quartus II

Postby cyclone » 08 Jul 2012, 08:47

Ciao reflex,
Prima di postare per chiedere aiuto, ti volevo invitare a rispettare alcune buone norme quando ci si registra in un forum serio e professionale quale io reputo questo.

1) presentarsi e salutare
2) partecipare al forum attivamente
3) ricevere credibilità da parte dei moderatori
4) quando si postano quesiti fare in modo da non far perdere tempo alle persone potenzialmente disponibili e quindi:
5) allegare i sorgenti e le fonti cui si fa riferimento

Di sicuro, adoperandoti in tal senso, qui potrai trovare anche in futuro persone molto disponibili e con la voglia di aiutarti

detto questo, prova a postare il vhdl, cercheremo di aiutarti a creare un testbench per simulare la tua funzione.

ciao e scusami per la segnalazione, purtroppo ultimamente ho sentito la necessità di farla anche ad altri iscritti.

ciao
Last edited by cyclone on 08 Jul 2012, 16:30, edited 1 time in total.
User avatar
cyclone
 
Posts: 38
Joined: 27 Jan 2012, 11:49

Re: ModelSim e Quartus II

Postby flz47655 » 08 Jul 2012, 09:15

Ciao,
Nella finestra Objects invece di scegliere 'create wave' prova a scegliere Add/To Wave/Selected signals per aggiungere alla finestra Wave il segnale.
Ne approfitto per segnalarti un tutorial (in italiano) che ho scritto a proposito della simulazione con ModelSim: http://www.delucagiovanni.com/public/phpbb3/viewtopic.php?f=10&t=239

Ciao
flz47655
 
Posts: 639
Joined: 19 Jan 2012, 21:16

Re: ModelSim e Quartus II

Postby deluca » 08 Jul 2012, 21:19

Benvenuto a reflex19.

In veste di amministratore del sito e del forum,
innanzi tutto colgo l'occasione per ringraziare ancora una volta cyclone per il sostegno nel far rispettare le norme :) ,
ma devo ricordare a tutti che il forum è libero, chiunque può dire la sua, nei limiti della buona educazione, e qui mi sembra che questo punto è ampiamente rispettato.

Ad ogni modo, gradirei che chiunque decida di iscriversi al forum si presenti semplicemente per una questione di rispetto reciproco.

Buon lavoro
Ciao
Il mio sito: http://www.delucagiovanni.com ......e la chat: chat/
User avatar
deluca
Site Admin
 
Posts: 1104
Joined: 19 Jun 2011, 10:44
Location: 95123 - Catania (Italy)


Return to FPGA & CPLD

Who is online

Users browsing this forum: No registered users and 6 guests

cron