LVDS e Cyclone II

Sezione dedicata alle logiche programmabili

LVDS e Cyclone II

Postby Leonardo » 11 Aug 2013, 18:02

Salve a tutti,
Analizzando lo schema di una dev-board low-cost basata sulla FPGA Cyclone II EP2C5: http://elecfreaks.com/store/download/datasheet/dev%20platform/fpga/EP2C5T144E&P2C8T144Sch.pdf

Ho notato che i:
pin 26 LVDS3p
pin 81 LVDS37p

sono collegati a VCC INT tramite una resistenza da 0 ohm mentre

pin27 LVDS3n
pin80 LVDS37n

sono collegati a GND sempre tramite una resistenza da 0 ohm

Mentre altri pin LVDS non sono collegati. Nel datasheet non ho trovato spiegazioni per questa scelta visto che i pin non utilizzati possono essere tranquillamente portati in pull-up o pull-down tramite Quartus, sapete se ci può essere un motivo particolare?

Nelle vostre board trattate in modo speciale questi pin in oggetto che vorrei utilizzare come semplici pin di IO?

Visto il basso costo avevo addirittura pensato che le FPGA abbiano tali pin difettosi ma utilizzate per tenere bassi i costi ma prima di dissaldare i resistori da 0 ohm e verificare volevo sentire qualche parere.
Il mio blog di elettronica: http://electro-logic.blogspot.it
User avatar
Leonardo
 
Posts: 502
Joined: 29 May 2013, 22:31
Location: Parma

Re: LVDS e Cyclone II

Postby deluca » 11 Aug 2013, 18:39

Mai visto qualcosa del genere,
una mia scheda con un EP2C5 funziona perfettamente, i pin di cui parli sono disponibili sugli Header.
Ecco la parte dello schema di tuo interesse
EP2C5T-144_Demo Board.jpg
EP2C5T-144_Demo Board.jpg (186.54 KiB) Viewed 2416 times
Ciao
Il mio sito: http://www.delucagiovanni.com ......e la chat: chat/
User avatar
deluca
Site Admin
 
Posts: 1104
Joined: 19 Jun 2011, 10:44
Location: 95123 - Catania (Italy)

Re: LVDS e Cyclone II

Postby Leonardo » 11 Aug 2013, 18:57

Gli enigmi delle schede low-cost... spero non siano FPGA coi pin difettosi quelle vendute allora :shock:
Comunque buono a sapersi che in eventuali board-custom non bisogna obbligatoriamente portare a VCC o GND quei pin e si possono usare tranquillamente come I/O

Grazie
Ciao
Il mio blog di elettronica: http://electro-logic.blogspot.it
User avatar
Leonardo
 
Posts: 502
Joined: 29 May 2013, 22:31
Location: Parma


Return to FPGA & CPLD

Who is online

Users browsing this forum: No registered users and 1 guest