DDR3 SDRAM controller with uniPHY - Qsys

Sezione dedicata alle logiche programmabili

DDR3 SDRAM controller with uniPHY - Qsys

Postby Stark79 » 24 Oct 2013, 13:22

Ciao a tutti, sono un ingegnere delle telecomunicazioni e sto lavorando con una scheda Altera Cyclone V GX e dispongo di Quartus II 13.0 (web edition) per Windows 7 64 bit.
Sto implementando un sistema in Qsys che prevede tra le altre cose un processore Nios II e un "DDR3 SDRAM controller with uniPHY" (+ una serie di core basilari come PIO, sorgenti clock, etc..).
Quando faccio il generate nel generation tab ottengo una serie di errori che posto di seguito:

Code: Select all
Error: s0: Error during execution of "{C:/altera/13.0/quartus/../nios2eds/Nios II Command Shell.bat} make all 2>> stderr.txt": child process exited abnormally

Error: s0: Execution of command "{C:/altera/13.0/quartus/../nios2eds/Nios II Command Shell.bat} make all 2>> stderr.txt" failed

Error: s0: ]2;Altera Nios II EDS 13.0 [gcc4]C:/altera/13.0/quartus/bin/uniphy_mcc -ac_code sequencer_mc/ac_rom.s -inst_code sequencer_mc/inst_rom.s -ac_rom ../strIV_cycV(4)_altmemddr_0_s0_AC_ROM.hex -inst_rom ../strIV_cycV(4)_altmemddr_0_s0_inst_ROM.hex -header sequencer/sequencer_auto.h -vheader ../sequencer_auto_h.sv -ac_rom_init sequencer/sequencer_auto_ac_init.c -inst_rom_init sequencer/sequencer_auto_inst_init.c -DAC_ROM_MR0=0010000110001 -DAC_ROM_MR0_CALIB= -DAC_ROM_MR0_DLL_RESET=0010100110000 -DAC_ROM_MR1=0000001000100 -DAC_ROM_MR1_OCD_ENABLE= -DAC_ROM_MR2=0000000001000 -DAC_ROM_MR3=0000000000000 -DAC_ROM_MR0_MIRR=0010001001001 -DAC_ROM_MR0_DLL_RESET_MIRR=0010011001000 -DAC_ROM_MR1_MIRR=0000000100100 -DAC_ROM_MR2_MIRR=0000000010000 -DAC_ROM_MR3_MIRR=0000000000000 -DQUARTER_RATE=0 -DHALF_RATE=1 -DFULL_RATE=0 -DGUARANTEED_READ_BRINGUP_TEST=0 -DMEM_ADDR_WIDTH=13 -DHARD_PHY=0

Error: s0: child process exited abnormally

Error: s0: Cannot find sequencer/sequencer.elf

Error: s0: An error occurred
    while executing
"error "An error occurred""
    (procedure "_error" line 8)
    invoked from within
"_error "Cannot find $seq_file""
    ("if" then script line 2)
    invoked from within
"if {[file exists $seq_file] == 0} {
      _error "Cannot find $seq_file"
   }"
    (procedure "alt_mem_if::util::seq_mem_size::get_max_memory_usage" line 14)
    invoked from within
"alt_mem_if::util::seq_mem_size::get_max_memory_usage [file join "sequencer" "sequencer.elf""
    invoked from within
"set calc_mem_size [alt_mem_if::util::seq_mem_size::get_max_memory_usage [file join "sequencer" "sequencer.elf"]]"
    ("if" then script line 2)
    invoked from within
"if { !$do_only_rw_mgr_mc && !($bfm_mode || $hps_mode)} {
      set calc_mem_size [alt_mem_if::util::seq_mem_size::get_max_memory_usage [file join "sequenc..."
    (procedure "generate_qsys_sequencer_sw" line 746)
    invoked from within
"generate_qsys_sequencer_sw $prepend_str $protocol $pre_compile_dir $fileset $inhdl_dir $rdimm $lrdimm 0 0  $nios_hex_file_name $ac_rom_init_file_name ..."
    invoked from within
"set seq_mem_size_list [generate_qsys_sequencer_sw $prepend_str $protocol $pre_compile_dir $fileset $inhdl_dir $rdimm $lrdimm 0 0  $nios_hex_file_name ..."
    ("if" else script line 2)
    invoked from within
"if {[::alt_mem_if::util::qini::qini_value alt_mem_if_seq_size_request 0] > 0} {
      set seq_mem_size [::alt_mem_if::util::qini::qini_value alt_mem_if_se..."
    (procedure "alt_mem_if::gen::uniphy_gen::generate_qsys_sequencer" line 195)
    invoked from within
"alt_mem_if::gen::uniphy_gen::generate_qsys_sequencer "${name}" $protocol $tmpdir $fileset {}"
    invoked from within
"set qsys_sequencer_files_list [alt_mem_if::gen::uniphy_gen::generate_qsys_sequencer "${name}" $protocol $tmpdir $fileset {}]"
    (procedure "alt_mem_if::gen::uniphy_gen::generate_sequencer_files" line 3)
    invoked from within
"alt_mem_if::gen::uniphy_gen::generate_sequencer_files $name "DDR3" $tmpdir QUARTUS_SYNTH"
    invoked from within
"foreach generated_file [alt_mem_if::gen::uniphy_gen::generate_sequencer_files $name "DDR3" $tmpdir QUARTUS_SYNTH] {
      set file_name [file tail $genera..."
    (procedure "generate_synth" line 8)
    invoked from within
"generate_synth strIV_cycV(4)_altmemddr_0_s0"



Info: s0: "altmemddr_0" instantiated altera_mem_if_ddr3_qseq "s0"   

Error: Generation stopped, 6 or more modules remaining


Error: ip-generate failed with exit code 1: 7 Errors, 0 Warnings


Tenete presente che non posso cambiare tipo di controller perchè la Cyclone V GX non supporta gli ALTMEMPHY.
Ho provato a cambiare diversi parametri e collegamenti nel mio sistema Qsys ma non cambia mai niente...
Il problema dovrebbe riguardare il sequencer del controller (cioè del blocco uniphy) ma non riesco cmq a trovare una soluzione al problema!!!
Sono ormai 2 settimane che provo invano a risolvere questo problema.
C'è qualcuno che si è imbattuto in errori simili o sa indirizzarmi verso la soluzione?
Grazie cmq della disponibilità.

Luca
Stark79
 
Posts: 4
Joined: 24 Oct 2013, 13:08

Re: DDR3 SDRAM controller with uniPHY - Qsys

Postby deluca » 24 Oct 2013, 13:36

Salve Stark79 e ben arrivato.

L'errore sembrerebbe da associare a qualche strano baco nella versione web edition 64bit / compatibilità W7-64
Hai già installato il service pack 1?
Hai provato la 32bit?

Di sicuro avrai visto anche qui:
http://www.altera.com/support/kdb/solut ... 3_986.html

Code: Select all
Title
Error: Error during execution of "{C:/altera/12.1/quartus//../nios2eds/Nios II Command Shell.bat} make all 2>> stderr.txt": child process exited abnormally

Description
You may experience the above error when generating a UniPHY-based memory controller. The error occurs because one of the system environment variables 'TEMP' points to a network drive and not a local drive.

Workaround / Fix
The workaround is to point the TEMP variable to the local machine, such as the C: drive. Also the variable HOMEDRIVE should point to the local machine.
Ciao
Il mio sito: http://www.delucagiovanni.com ......e la chat: chat/
User avatar
deluca
Site Admin
 
Posts: 1104
Joined: 19 Jun 2011, 10:44
Location: 95123 - Catania (Italy)

Re: DDR3 SDRAM controller with uniPHY - Qsys

Postby Stark79 » 24 Oct 2013, 13:55

Grazie del benvenuto!
Ho provato a fare il generate del sistema nel pc di un mio collega che ha il service pack 1 con subscription edition ma nulla da fare.
Ho appena provato con Quartus II 32 bit ma ancora niente...
Ho persino trovato sul forum di Altera gente con problemi simili che dicono di aver risolto dopo aver creato un user windows name con soli caratteri ANSI.
Io ho provato creando l'user name €€™ ma nulla da fare.
Ho trovato gente con problemi simili però con linux (http://macnicatech.com/quartus-make-requirement/)...
A casa per scrupolo proverò cmq con linux (ho Ubuntu 13.10 a 32 e 64 bit).
Secondo te può essere un problema di parametri/collegamenti errati nel sistema Qsys?
Secondo me no perchè mi avvertirebbe con messaggi di error/warning ancora prima di fare il generate....

ps
in realtà il sistema su cui sto lavorando è un vecchio sistema (era ancora un .sopc) che era stato implementato per una Stratix IV che io sto provando ad adattare per una Cyclone V GX.
L'unico core che mi dice di dover cambiare perchè non + supportato è il "DDR3 SDRAM controller with ALTMEMPHY" che infatti io provo a rimpiazzare con la versione uniPHY.
Stark79
 
Posts: 4
Joined: 24 Oct 2013, 13:08

Re: DDR3 SDRAM controller with uniPHY - Qsys

Postby Stark79 » 24 Oct 2013, 13:57

ciao in effetti non avevo visto ancora il link che mi hai postato...adesso ci do un occhiata!
Cmq grazie mille della disponibilità...!
Stark79
 
Posts: 4
Joined: 24 Oct 2013, 13:08

Re: DDR3 SDRAM controller with uniPHY - Qsys

Postby Stark79 » 24 Oct 2013, 14:42

Come non detto...
la mia variabile d'ambiente TEMP puntava a %USERPROFILE%\AppData\Local\Temp
io l'ho semplicemente cambiata in C:\Utenti\*********\AppData\Local\Temp (gli asterischi li ho messi io per nascondere il nome della mia azienda per una questione di privacy)

i risultati sono sempre gli stessi...
Stark79
 
Posts: 4
Joined: 24 Oct 2013, 13:08


Return to FPGA & CPLD

Who is online

Users browsing this forum: No registered users and 12 guests

cron