Programmazione FPGA Altera

Sezione dedicata alle logiche programmabili

Programmazione FPGA Altera

Postby Clà » 21 Jan 2015, 19:16

Ciao a tutti,
diverso tempo fa acquistai una scheda di sviluppo basata su una FPGA Altera Max II da Nuova elettronica. Dopo aver montato il kit (e la relativa scheda di programmazione Parallela/JTAG), riuscì a trasferire i programmi/circuiti proposti da Nuova Elettronica sulla FPGA e il tutto funzionava molto bene.

A distanza di diversi anni, ho provato a creare qualche circuito (con lo schematico o direttamente in VHDL) ma questa volta non riesco a trasferire il circuito sullaFPGA. Sto usando un vecchio PC con Win XP, Quartus II 8.o e il "vecchio" programmatore parallela/JTAG.

Il mio problema è che nel momento di trasferire il programma sulla FPGA (finestra tools-> programmer), non appre il nome del dispositivo FPGA impostato nel progetto (nel mio caso un EMP240T100C5). Cliccando su "auto detect", il dispositivo viene rilevato (??) ma non c'è la possibilità di spuntare la casella relativa al trasferimento del programma.

Provando ad aprire invece i progetti di esempio forniti da Nuova Elettronica, aprendo Tools->Programmer, automaticamente appare il nome di un file .pof (con due sottorighe: CFM e UFM) e la possibilità di spuntare le checkbox per la programmazione. Il trasferimento del programma, in questo caso, funziona bene.

A questo punto credo che nel mio progetto manchi una qualche fase che consista nella realizzazione di quel file .pof che mi consenta di procedere correttamente.

Avete suggerimenti su come procedere?
Clà
 
Posts: 36
Joined: 07 Dec 2014, 17:57
Location: Messina

Re: Programmazione FPGA Altera

Postby Leonardo » 21 Jan 2015, 19:51

Nelle nuove versioni nel menù "File / Convert Programming File" è possibile creare file .pof a partire dal .sof (SRAM Object File), nella versione 8.0 dovrebbe esserci un menù analogo da qualche parte

Ciao
Il mio blog di elettronica: http://electro-logic.blogspot.it
User avatar
Leonardo
 
Posts: 502
Joined: 29 May 2013, 22:31
Location: Parma

Re: Programmazione FPGA Altera

Postby Clà » 21 Jan 2015, 20:06

Grazie per la risposta Leonardo.
In effetti avevo già tentato questa strada, solo che nel mio caso, non so dove trovare (o come generare) il file .sof

:(
Clà
 
Posts: 36
Joined: 07 Dec 2014, 17:57
Location: Messina

Re: Programmazione FPGA Altera

Postby Leonardo » 21 Jan 2015, 20:15

Non hai il file .sof perché non ha una SRAM :D

La MAX II non è una FPGA ma una CPLD

Dopo aver eseguito una compilazione completa trovi già nella cartella output_files del progetto il file .pof pronto per essere caricato
Il mio blog di elettronica: http://electro-logic.blogspot.it
User avatar
Leonardo
 
Posts: 502
Joined: 29 May 2013, 22:31
Location: Parma

Re: Programmazione FPGA Altera

Postby Clà » 21 Jan 2015, 21:00

Purtroppo non mi ritrovo nessun .pof dopo la compilazione. :(
Clà
 
Posts: 36
Joined: 07 Dec 2014, 17:57
Location: Messina

Re: Programmazione FPGA Altera

Postby Leonardo » 21 Jan 2015, 21:09

Puoi postare il progetto?
Il mio blog di elettronica: http://electro-logic.blogspot.it
User avatar
Leonardo
 
Posts: 502
Joined: 29 May 2013, 22:31
Location: Parma

Re: Programmazione FPGA Altera

Postby Clà » 21 Jan 2015, 21:13

Riesco ad allegare qui il file?
Cmq è una cosa semplicissima(è solo una prova); un input, una porta NOT e un pin di output...
Clà
 
Posts: 36
Joined: 07 Dec 2014, 17:57
Location: Messina

Re: Programmazione FPGA Altera

Postby Leonardo » 21 Jan 2015, 21:17

Puoi postare un link dropbox, onedrive, Google drive o similare con il progetto zippato
Il mio blog di elettronica: http://electro-logic.blogspot.it
User avatar
Leonardo
 
Posts: 502
Joined: 29 May 2013, 22:31
Location: Parma

Re: Programmazione FPGA Altera

Postby Clà » 21 Jan 2015, 21:33

Clà
 
Posts: 36
Joined: 07 Dec 2014, 17:57
Location: Messina

Re: Programmazione FPGA Altera

Postby Leonardo » 21 Jan 2015, 21:41

Ho Quartus 13 installato. Dopo aver avviato la compilazione completa ha creato correttamente il file .pof nella cartella del progetto. Ecco il file: http://www.filedropper.com/prova_6
Il mio blog di elettronica: http://electro-logic.blogspot.it
User avatar
Leonardo
 
Posts: 502
Joined: 29 May 2013, 22:31
Location: Parma

Re: Programmazione FPGA Altera

Postby Clà » 21 Jan 2015, 22:49

Provo subito, grazie.
Anche se non ho capito perchè con la versione 8 non lo genera :/
Clà
 
Posts: 36
Joined: 07 Dec 2014, 17:57
Location: Messina

Re: Programmazione FPGA Altera

Postby Clà » 22 Jan 2015, 12:50

Funziona.

Per adesso sto facendo così: genero il .pof su un altro PC con Quartus II 14 e poi lo porto sul vecchio PC per la programmazione (il nuovo PC non ha la parallela e cmq credo che non si possa usare in quanto ByteBlaster non è compatibile con i SO a 64bit).

In attesa a questo punto di un programmatore USB Blaster...
Clà
 
Posts: 36
Joined: 07 Dec 2014, 17:57
Location: Messina

Re: Programmazione FPGA Altera

Postby Leonardo » 22 Jan 2015, 13:02

Sui vecchi PC Quartus 9.1 SP2 è ancora abbastanza in voga.. potresti installare quello sul vecchio pc
Il mio blog di elettronica: http://electro-logic.blogspot.it
User avatar
Leonardo
 
Posts: 502
Joined: 29 May 2013, 22:31
Location: Parma


Return to FPGA & CPLD

Who is online

Users browsing this forum: No registered users and 9 guests