Rilevamento stato input con 3state

Sezione dedicata alle logiche programmabili

Rilevamento stato input con 3state

Postby Clà » 12 Jun 2015, 21:53

Ciao a tutti,
Credo di aver già scritto sul forum qualcosa in proposito però ho voluto provare ugualmente nonostante le vostre risposte.

Si trattava della possibilità di individuare o impostare lo stato logico di un pin esterno alla FPGA (Altera nel mio caso).

Per questo, ho scritto un breve programmino VHDL:

Code: Select all
ENTity LP IS
   port ( clk : in STD_logic;
         input     : in X01;
         led_1 :     out bit;
         led_x      : out bit;
         led_0    : out bit);
end LP;

architecture behav of LP is
begin
   process (clk) is
   begin
    if rising_edge(clk) then
         if input='1' then
            led_1 <= '1';
            led_x <= '0';
            led_0 <= '0';
         elsif input='0' then
            led_1 <= '0';
            led_x <= '0';
            led_0 <= '1';
         elsif input='X' then
            led_1 <= '0';
            led_x <= '1';
            led_0 <= '0';
         end if;
      end if;
   end process;
end behav;   


Ovviamenet non funziona.
Vorrei capire se è un problema del mio programma oppure se, come mi sembra di ricordare, la FPGA non riesce a riconoscere lo stato di alta impedenza.

In questo caso chiedo se esistono delle FPGA che riconoscono lo stato 'alta impedenza' o, in alternativa, se è possibile farlo ricorrendo a qualche altro modo.
Clà
 
Posts: 36
Joined: 07 Dec 2014, 17:57
Location: Messina

Re: Rilevamento stato input con 3state

Postby Leonardo » 12 Jun 2015, 22:14

Ciao,

Vuoi passare dalla logica binaria a ternaria? :)

Non esistono FPGA che riconoscano l'alta impedenza, puoi però pensare a tecniche circuitali analogiche che richiedono componenti esterni e funzionano solo sotto determinate ipotesi.
Il mio blog di elettronica: http://electro-logic.blogspot.it
User avatar
Leonardo
 
Posts: 502
Joined: 29 May 2013, 22:31
Location: Parma

Re: Rilevamento stato input con 3state

Postby Clà » 12 Jun 2015, 22:29

Ciao,
si lo scopo è più o meno quello ;)

ho già realizzato un circuito (3 transistor + altri componenti) per la rilevazioni dei tre stati.
Speravo si potesse fare direttamente senza ricorrere a componenti esterni perchè nel mio progetto mi servirebbero più linee 3state.

Mi sbaglio o i microcontrollori invece possono rilevare anche l'alta impedenza (programmando opportunamente i pin)?
Clà
 
Posts: 36
Joined: 07 Dec 2014, 17:57
Location: Messina

Re: Rilevamento stato input con 3state

Postby Leonardo » 12 Jun 2015, 22:39

Purtroppo ti sbagli, non esistono microcontrollori che rilevino l'alta impedenza. E' inoltre sempre consigliato portare a 1 o a 0 il valore logico degli ingressi scollegati tramite pullup o pulldown per consumare minore energia.
Il mio blog di elettronica: http://electro-logic.blogspot.it
User avatar
Leonardo
 
Posts: 502
Joined: 29 May 2013, 22:31
Location: Parma

Re: Rilevamento stato input con 3state

Postby Clà » 13 Jun 2015, 21:15

Grazie per le risposte!
Immagino che sia inutile chiedere se venga supportato un sistema bilanciato simile alle trasmissioni seriali rs232 quindi con una tensione negativa e una positiva rispetto al riferimento...
Clà
 
Posts: 36
Joined: 07 Dec 2014, 17:57
Location: Messina

Re: Rilevamento stato input con 3state

Postby deluca » 13 Jun 2015, 21:35

@Clà,
magari se spiegassi cosa devi realizzare potremmo capire meglio le tue richieste.
esistono dei chip che supportano il codice trinario..... ma non capisco a cosa potrebbe servirti.

PS. le fpga hanno la possibilità di trasmettere segnali attraverso linee differenziali....
Ciao
Il mio sito: http://www.delucagiovanni.com ......e la chat: chat/
User avatar
deluca
Site Admin
 
Posts: 1104
Joined: 19 Jun 2011, 10:44
Location: 95123 - Catania (Italy)

Re: Rilevamento stato input con 3state

Postby Clà » 13 Jun 2015, 22:29

Non ho uno scopo pratico preciso, se non quello di implementare una (semplice) ALU ternaria per puro hobby.
Tuttavia, anche se posso simulare i tre stati interni con il codice VHDL (in gran parte già scritto e funzionante), sarebbe estremamente comodo 'esternare' in maniera semplice i segnali interni utilizzando appunto il tristate...
Clà
 
Posts: 36
Joined: 07 Dec 2014, 17:57
Location: Messina

Re: Rilevamento stato input con 3state

Postby Leonardo » 14 Jun 2015, 03:42

@Clà
Il sistema bilanciato potrebbe essere implementato in qualche standard di I/O differenziale supportato dalle FPGA

Ti segnalo una lettura che sembra interessante sulla logica ternaria pura: http://xyzzy.freeshell.org/trinary/CPE%20Report%20-%20Ternary%20Computing%20Testbed%20-%20RC6a.pdf

@Giovanni
Tanto per curiosità: esistono chip trinari puri off-the-shelf?
Il mio blog di elettronica: http://electro-logic.blogspot.it
User avatar
Leonardo
 
Posts: 502
Joined: 29 May 2013, 22:31
Location: Parma

Re: Rilevamento stato input con 3state

Postby Clà » 17 Jun 2015, 21:17

Grazie a tutti per le risposte!
Clà
 
Posts: 36
Joined: 07 Dec 2014, 17:57
Location: Messina


Return to FPGA & CPLD

Who is online

Users browsing this forum: No registered users and 1 guest

cron