Mi spieghereste il significato del costrutto "(other >= '0')"?
L'ho trovato in questo esempio
- Code: Select all
- library ieee; use ieee.std_logic_1164.all;
 entity reg16 is
 port(clk, reset, set, en : in std_logic;
 d : in std_logic_vector(15 downto 0);
 q : out std_logic_vector(15 downto 0) );
 end;
 architecture beh of reg16 is
 begin
 process (clk,reset,set)
 begin
 if (reset=’1’) then
 q <= ( others => ‘0’);
 elsif (set=’1’) then
 q <= ( others => ‘1’);
 elsif rising_edge(clk) then
 if (en=’1’) then
 q <= d;
 end if;
 end if;
 end process;
 end beh;

