Errore running quartus modelsim

Sezione dedicata al linguaggio di descrizione hardware per logiche programmabili

Errore running quartus modelsim

Postby gaetano » 27 Oct 2014, 12:35

Salve a tutti, usando il simulation wave editori di Quartus II mi son imbattuto nella segnalazione di errore che vedete in figura. Mi dà il medesimo errore sia se implemento il seguente codice, sia se realizzo un semplice registro (negli altri casi...sipo e altro funziona tutto). Specifico che il seguente codice passa la compilazione. A cosa potrebbe essere dovuto l'errore in fase di simulazione? Grazie!

Code: Select all
-- parallel input serial output - shift register
library ieee;
use ieee.std_logic_1164.all;

entity piso is
     port
         (
         sclk: in std_logic;
         rload: in std_logic;
         input: in std_logic_vector (31 downto 0);
         output: out std_logic
         );
end piso;

architecture piso_arc of piso is

begin
     
A:process (sclk, rload, input)
variable data: std_logic_vector (31 downto 0);

begin
      if rload = '1' then
         data := input;
      elsif(rising_edge(sclk)) then
      data:= data (30 downto 0) & '0';
      output <= data(31);
      end if;
      
end process A;

end piso_arc;
Attachments
errore model sim.JPG
errore model sim.JPG (54.54 KiB) Viewed 8890 times
gaetano
 
Posts: 8
Joined: 04 Jun 2014, 20:10

Re: Errore running quartus modelsim

Postby Altero » 27 Oct 2014, 21:02

ciao gaetano,

hai provato a simulare altri moduli? o è il primo che simuli

ciao
Altero
 
Posts: 46
Joined: 20 Apr 2012, 09:33

Re: Errore running quartus modelsim

Postby gaetano » 28 Oct 2014, 01:15

Sì Altero, ho provato con altri moduli classici come contatori, sipo etc. e la simulazione funziona senza problemi.
Mi dà questo errore finora solo in due casi: quello del codice sopra riportato e quello di un semplice registro.
gaetano
 
Posts: 8
Joined: 04 Jun 2014, 20:10

Re: Errore running quartus modelsim

Postby Leonardo » 28 Oct 2014, 09:43

Ciao,
Puoi postare il progetto?
Il mio blog di elettronica: http://electro-logic.blogspot.it
User avatar
Leonardo
 
Posts: 502
Joined: 29 May 2013, 22:31
Location: Parma

Re: Errore running quartus modelsim

Postby gaetano » 28 Oct 2014, 15:57

Ecco in allegato il progetto!
Attachments
piso.rar
(11.86 KiB) Downloaded 471 times
gaetano
 
Posts: 8
Joined: 04 Jun 2014, 20:10

Re: Errore running quartus modelsim

Postby Leonardo » 29 Oct 2014, 21:20

Ciao Gaetano, input (e output) nel Verilog è una parola riservata.
Quartus converte la forma d'onda in un testbench Verilog e quindi si verifica l'errore.
Ti consiglio di non usare nel codice VHDL nomi di segnali con parole chiave Verilog.
Il mio blog di elettronica: http://electro-logic.blogspot.it
User avatar
Leonardo
 
Posts: 502
Joined: 29 May 2013, 22:31
Location: Parma

Re: Errore running quartus modelsim

Postby gaetano » 30 Oct 2014, 00:41

Grazie per il consiglio :)! Converto i vari codici in cui ho usato parole riservate e controllo se è tutto ok.
gaetano
 
Posts: 8
Joined: 04 Jun 2014, 20:10

Re: Errore running quartus modelsim

Postby gaetano » 30 Oct 2014, 10:33

Ho ri-simulato tutti i codici che presentavano il problema e ora funzionano. Grazie nuovamente
gaetano
 
Posts: 8
Joined: 04 Jun 2014, 20:10

Re: Errore running quartus modelsim

Postby Leonardo » 30 Oct 2014, 13:19

Prego
Il mio blog di elettronica: http://electro-logic.blogspot.it
User avatar
Leonardo
 
Posts: 502
Joined: 29 May 2013, 22:31
Location: Parma


Return to VHDL x FPGA

Who is online

Users browsing this forum: No registered users and 2 guests

cron