Credo di aver già scritto sul forum qualcosa in proposito però ho voluto provare ugualmente nonostante le vostre risposte.
Si trattava della possibilità di individuare o impostare lo stato logico di un pin esterno alla FPGA (Altera nel mio caso).
Per questo, ho scritto un breve programmino VHDL:
- Code: Select all
- ENTity LP IS
 port ( clk : in STD_logic;
 input : in X01;
 led_1 : out bit;
 led_x : out bit;
 led_0 : out bit);
 end LP;
 architecture behav of LP is
 begin
 process (clk) is
 begin
 if rising_edge(clk) then
 if input='1' then
 led_1 <= '1';
 led_x <= '0';
 led_0 <= '0';
 elsif input='0' then
 led_1 <= '0';
 led_x <= '0';
 led_0 <= '1';
 elsif input='X' then
 led_1 <= '0';
 led_x <= '1';
 led_0 <= '0';
 end if;
 end if;
 end process;
 end behav;
Ovviamenet non funziona.
Vorrei capire se è un problema del mio programma oppure se, come mi sembra di ricordare, la FPGA non riesce a riconoscere lo stato di alta impedenza.
In questo caso chiedo se esistono delle FPGA che riconoscono lo stato 'alta impedenza' o, in alternativa, se è possibile farlo ricorrendo a qualche altro modo.


 
 

